Als we een tijdcontinue signaal $$x_c(t)$$ hebben, dan in zijn discrete voorstelling $$x[n] = x_c(nT_s)$$, met $$n$$ een geheel getal en $$T_s=\frac{1}{f_s}$$ […]
[crayon lang=”VHDL”] library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.numeric_std.all; use IEEE.STD_LOGIC_UNSIGNED.all; architecture structural of is (…) signal segm_vector: std_logic_vector(6 downto 0); […]